! Syntax Highlighting Patterns for IDL ! ! Originally contributed by Vojko Jazbinsek, updated by Peter Gruebling, July ! 1998. (Vojko's original patterns are still available in old_idl.pats) ! ! In NEdit Version 5.0.1 and beyond, load this pattern by starting nedit with: ! ! nedit -import ! ! Then, check that the patterns were loaded correctly, and choose Save Defaults ! from the Preferences menu. The new patterns will now be incorporated into ! your own .nedit file, so the next time you start NEdit, you will no longer ! need to use -import. ! ! In version 5.0, without -import, you must edit your .nedit file by hand and ! add the contents of each resource below to the corresponding list in your ! .nedit file. Be sure to separate new entries with \n, and continue resource ! strings by escaping all newlines within a resource value with \ (backslash), ! leaving only the last line of the resource value not ending in \. ! nedit.highlightPatterns: \ IDL:1:0{\n\ Keywords:"<([Ii]f|IF|[Tt]hen|THEN|[Rr]eturn|RETURN|[Gg]oto|GOTO|[Ff]or|FOR|[Dd]o|DO|[Ee]lse|ELSE|[Ww]hile|WHILE|CASE|[Cc]ase|OF|[Oo]f|REPEAT|[Rr]epeat|UNTIL|[Uu]ntil)>":::Keyword::\n\ Routine:"<([Pp]ro|PRO|[Ee]nd|END|FUNCTION|[Ff]unction)>":::Subroutine::\n\ numeric constant:"<((0(x|X)[0-9a-fA-F]*)|(([0-9]+\\.?[0-9]*)|(\\.[0-9]+))((e|E)(\\+|-)?[0-9]+)?)(L|l|UL|ul|u|U|F|f)?>":::Numeric Const::D\n\ String:"'":"'"::String::\n\ Subroutine:"<([Bb]egin|BEGIN|[Ee]ndif|ENDIF|[Ee]ndfor|ENDFOR|[Ee]ndwhile|ENDWHILE|[Ee]ndelse|ENDELSE|ENDCASE|[Ee]ndcase|ENDREP|[Ee]nd[Rr]ep)>":::Subroutine1::\n\ Comment:";":"\\n"::Comment::\n\ StandardVar:"!":"=":"\\n| ":Flag::\n\ logical:"<(AND|[Aa]nd|OR|[Oo]r|NOT|[Nn]ot|NE|[Nn]e|EQ|[Ee]q|LT|[Ll]t|LE|[Ll]e|GT|[Gg]t|GE|[Gg]e|)>":::Ada Attributes::\n\ String1:"""":""""::String1::\n\ Paren:"\\(":"\\)"::Text Arg2::D\n\ Sub Paren:"\\(":"\\)"::Text Arg1:Paren:D\n\ Bracket:"\\[":"\\]"::Text Arg2::D\n\ Bracket Sub Paren:"\\(":"\\)"::Text Arg1:Bracket:D\n\ Bracket Sub Bracket:"\\[":"\\]"::Text Arg1:Bracket:D\n\ Special Chars:"<(\\$|\\&)>":::Flag::D\n\ } nedit.languageModes: \ IDL:.pro .PRO:"<(PRO|[Pp]ro|KEYWORD_SET|[Kk]eyword_set|N_ELEMENTS|[Nn]_elements)>":Auto:::4:".,/\\\\`'!@#%^*()-=+{}[]"":;<>?"