! Syntax Highlighting Patterns for IDL ! (Thanks to Vojko Jazbinsek, University of Ljubljana) ! ! In NEdit Version 5.0.1 and beyond, load this pattern by starting nedit with: ! ! nedit -import ! ! Then, check that the patterns were loaded correctly, and choose Save Defaults ! from the Preferences menu. The new patterns will now be incorporated into ! your own .nedit file, so the next time you start NEdit, you will no longer ! need to use -import. ! ! In version 5.0, without -import, you must edit your .nedit file by hand and ! add the contents of each resource below to the corresponding list in your ! .nedit file. Be sure to separate new entries with \n, and continue resource ! strings by escaping all newlines within a resource value with \ (backslash), ! leaving only the last line of the resource value not ending in \. ! nedit.highlightPatterns: \ IDL:1:0{\n\ comment:";":"$"::Comment::\n\ statements:"<(BEGIN|[Bb]egin|END|[Ee]nd|CASE|[Cc]ase|OF|[Oo]f|ENDCASE|[Ee]ndcase|COMMON|[Cc]ommon|FOR|[Ff]or|ENDFOR|[Ee]nd[Ff]or|FUNCTION|[Ff]unction|GOTO|[Gg]oto|IF|[Ii]f|THEN|[Tt]hen|ELSE|[Ee]lse|ENDIF|[Ee]nd[Ii]f|ENDELSE|[Ee]nd[Ee]lse|PRO|[Pp]ro|PROCEDURE_NAME|[Pp]rocedure_[Nn]ame|REPEAT|[Rr]epeat|ENDREP|[Ee]nd[Rr]ep|UNTIL|[Uu]ntil|WHILE|[Ww]hile|ENDWHILE|[Ee]nd[Ww]hile|DO|[Dd]o)>":::Keyword::D\n\ logical:"<(AND|[Aa]nd|OR|[Oo]r|NOT|[Nn]ot|NE|[Nn]e|EQ|[Ee]q|LT|[Ll]t|LE|[Ll]e|GT|[Gg]t|GE|[Gg]e|)>":::Ada Attributes::\n\ Special Chars:"<(\\$|\\&)>":::Flag::D\n\ String:"'":"'"::String::D\n\ String1:"""":""""::String1::\n\ Paren:"\\(":"\\)"::Text Arg2::D\n\ Sub Paren:"\\(":"\\)"::Text Arg1:Paren:D\n\ Bracket:"\\[":"\\]"::Text Arg2::D\n\ Bracket Sub Paren:"\\(":"\\)"::Text Arg1:Bracket:D\n\ Bracket Sub Bracket:"\\[":"\\]"::Text Arg1:Bracket:D\n\ } nedit.languageModes: IDL:.pro:"<(PRO|[Pp]ro|KEYWORD_SET|[Kk]eyword_set|N_ELEMENTS|[Nn]_elements)>":Auto:::4:".,/\\\\`'!@#%^*()-=+{}[]"":;<>?"